
Evolutie van GPU’s en Foundry-vooruitgang in het AI-tijdperk
Een Uitgebreide Analyse
<br>
1. GPU-technische evolutie in het AI-tijdperk
De snelle groei in de complexiteit van AI-modellen heeft geleid tot een snelle evolutie van GPU-architectuur. Moderne GPU’s integreren gespecialiseerde cores (zoals tensorcores voor matrixbewerkingen) en een verbeterde mate van parallelle verwerking om deep learning-taken te versnellen, veel verder dan wat traditionele grafische cores aankunnen.
Zo heeft NVIDIA sinds de Volta-architectuur tensorcores toegevoegd om AI-training te versnellen – een duidelijke aanwijzing van GPU-hardware die specifiek op AI is afgestemd. Tegelijkertijd is de software-ecosysteem (CUDA, ROCm, enz.) meeveranderd om AI-prestaties te optimaliseren, wat benadrukt hoe GPU-ontwerp en AI-behoeften nauw op elkaar afgestemd zijn.
<br>
Geheugenbandbreedte en interconnects
• Geheugenbandbreedte en interconnects zijn kritieke flessenhalzen geworden naarmate modellen en datasets groeien.
• High Bandwidth Memory (HBM) is uitgegroeid tot de standaardoplossing om datahongerige AI-accelerators van voer te voorzien.
• HBM stapelt meerdere DRAM-lagen verticaal op een GPU-package (met through-silicon vias en een interposer), waardoor het geheugen fysiek dichter bij de GPU-cores ligt.
Dit levert een enorme bandbreedte op: huidige HBM3 behaalt zo’n 819 GB/s per stack, en HBM3E mikt zelfs op 1,2 TB/s, stukken hoger dan traditionele GDDR6. Dit soort ultrahoogwaardig geheugen is van cruciaal belang voor AI-training (zoals bij geavanceerde modellen als GPT die continu hoge datasnelheden vereisen).
Ook geavanceerde interconnect-technologieën spelen een rol, zoals NVIDIA NVLink en AMD Infinity Fabric, die meerdere GPU’s koppelen met tientallen of honderden GB/s aan bandbreedte. Daardoor kan men efficiënt schalen naar multi-GPU-clusters voor AI. Bovendien verkent men nieuwe 3D-stapelingstechnieken (zoals het stapelen van cache of geheugen bovenop GPU-logica) om datapaden te verkorten en de latentie te verlagen.
<br>
Multi-die (chiplet) ontwerpen
• Om de rekencapaciteit te blijven vergroten, stappen GPU-fabrikanten over op multi-die (chiplet) ontwerpen.
• In plaats van één monolithische chip gebruikt men meerdere, kleinere chiplets die samen als één grote GPU functioneren.
Deze aanpak verbetert de yield en verlaagt de kostprijs per transistor, omdat kleinere dies makkelijker te produceren zijn bij geavanceerde nodes. AMD was hierin een voorloper met de Instinct MI200-accelerator, die twee GPU-dies gebruikt die via Infinity Fabric in één package zijn verbonden. Deze dual-die GPU behaalt bijna 5× de rekenkracht van één enkele die en heeft 800 GB/s aan inter-chiplet-bandbreedte.
De chiplet-strategie, lang in CPU’s gebruikt, blijkt nu ook gunstig voor AI-GPU’s omdat je zo zonder de lithografische grenzen van één gigantische chip kunt opschalen naar meer cores en meer geheugenkanalen. NVIDIA en Intel omarmen ook multichip-packaging voor AI-accelerators – zo maakt NVIDIA’s Hopper H100-GPU gebruik van CoWoS (chip-on-wafer-on-substrate) met HBM3, en er gaan geruchten dat toekomstige GPU’s bestaan uit meerdere tiles.
<br>
Heterogene integratie
• AMD’s aankomende MI300 combineert CPU-chiplets, GPU-chiplets en HBM-geheugen in één package.
• Dit is feitelijk een AI-geoptimaliseerd systeem-op-package.
Dit soort ontwerpen laat zien hoe GPU-evolutie zich niet meer beperkt tot verbeteringen ‘op de chip’ zelf, maar ook tot innovaties op packageniveau (2.5D/3D-integratie) die de prestaties in het AI-tijdperk verder kunnen opstuwen.
<br>
2. Foundry-processen in de race onder 3 nm
State-of-the-art GPU’s zijn afhankelijk van even geavanceerde halfgeleiderprocestechnologie. In de foundrysector is er daarom veel aandacht voor de 3nm-competitie tussen TSMC, Samsung en Intel, die allemaal de meest geavanceerde chips willen leveren.
Momenteel loopt TSMC voorop in massaproductie bij 3 nm, gestart eind 2022 (FinFET-gebaseerde N3) met naar verluidt prima yields. Samsung kondigde halverwege 2022 als eerste 3nm-chips aan (gebaseerd op het GAAFET-proces 3GAE) en introduceerde daarmee als eerste gate-all-around-transistors in productievorm. Toch was Samsungs initiële 3nm-output beperkt (met yield-uitdagingen), terwijl Intel zijn nodes heeft omgedoopt.
<br>
Intel’s roadmap
• “Intel 3” (ongeveer ~5 nm-class) staat gepland voor 2023.
• Gevolgd door 20A (~2 nm-class, met gate-all-around RibbonFET en PowerVia backside power) in 2024-25.
GlobalFoundries en UMC hebben zich teruggetrokken uit deze kostbare race en focussen op 12nm+ ‘rijpere’ nodes. Daardoor zijn TSMC, Samsung en (binnenkort) Intel feitelijk de enige foundries die verder gaan op sub-5nm-procesgebied.
<br>
Transistorarchitectuur bij 3 nm
• TSMC’s 3nm (N3) blijft FinFET’s gebruiken, weliswaar sterk verfijnd.
• Samsung is overgestapt op Gate-All-Around FET (MBCFET, multi-bridge channel FET met nanosheets als kanaal).
GAAFET’s omgeven het kanaal volledig met de gate, wat lekkage vermindert en de besturing verbetert bij zulke kleine afmetingen. Deze radicale stap ging echter gepaard met risico: de vroege yield van Samsung lag volgens geruchten rond 10–20%. In 2023 zijn die yields duidelijk verbeterd, maar TSMC’s voorzichtige FinFET-benadering heeft minder rumoer opgeleverd.
<br>
EUV-lithografie (Extreme Ultraviolet)
• EUV vereenvoudigt het fabricageproces door minder multi-patterning te vereisen, maar is extreem duur en complex.
• TSMC’s N3 heeft naar verluidt 25–35 EUV-lagen nodig.
Elke EUV-scanner kost ruim 150 miljoen dollar, en zelfs een fabriek vol EUV-apparaten heeft een beperkte throughput. Deze combinatie van technische uitdagingen en hoge kosten maakt 3nm-chips niet alleen technologisch moeilijk, maar ook peperduur.
<br>
Node-varianten
• TSMC introduceerde N3E (iets relaxter, met hogere yield) in 2023.
• Samsung werkt aan 3GAP (een tweede generatie 3 nm) met betere prestaties en yield, gepland rond 2024–25.
Wat betreft transistordichtheid en efficiëntie biedt 3nm nog steeds vooruitgang, maar niet zo vanzelfsprekend als in het verleden. TSMC adverteerde ongeveer 1,7× hogere logische dichtheid van 5 nm naar N3, maar door langzamere SRAM-scaling (~20% dichter) is de totale chipdichtheid ~1,3× verbeterd. Samsung geeft aan dat de eerste generatie 3 nm ~16% dichter is vergeleken met 5 nm, met ~23% hogere prestaties of 45% minder stroomverbruik bij dezelfde prestaties.
<br>
Vooruitblik naar 2 nm
• TSMC gaat waarschijnlijk naar nanosheet GAAFET’s bij 2 nm (2025).
• Samsung mikt ook op 2025 voor 2 nm.
• Intel’s 18A (~1,8 nm) staat voor 2025 op de rol.
Waarschijnlijk rust alles sterk op de volgende generatie EUV (High-NA EUV) om nog kleinere features te kunnen printen. De race om de leidersrol is nu zowel een kwestie van yield en economie als puur technologie. TSMC bezit momenteel ruim 75% van de foundry-markt voor geavanceerde nodes, mede dankzij het succes bij Apple, NVIDIA, AMD enz. Samsung volgt op gepaste afstand. Intel probeert terug te keren als foundry-speler en een deel van de 3nm/2nm-markt te claimen door de komende jaren zijn process aan externe klanten aan te bieden.
<br>
3. Technische en fysieke limieten, en opkomende oplossingen
Naarmate halfgeleiderfabricage doordringt tot in het single-digit-nanometerbereik, stuit men op harde fysieke grenzen. Bij 3 nm of 2 nm hebben transistors kanaallengtes van slechts enkele tientallen atomen, en gate-isolatoren van enkele atomen dik – kwantumtunneling en lekkagestromen worden aanzienlijk.
<br>
Gate-All-Around FET (GAAFET)
• De klassieke planar-transistor maakte bij 22 nm plaats voor FinFET’s om short-channel-effecten te onderdrukken.
• Nu naderen ook FinFET’s hun limiet. Daarom verschuift de sector naar GAAFET’s.
Bij een GAAFET is het kanaal een ultradunne nanowire of nanosheet en wikkelt het gate-materiaal zich rondom het kanaal (‘all-around’). Samsung’s MBCFET is hier een voorbeeld van: meerdere nanosheets worden verticaal gestapeld voor hogere stroomsterkte. Ook Intel’s RibbonFET is een variant hiervan. Verder onderzoekt men CFET (Complementary FET), waar nMOS en pMOS bovenop elkaar gestapeld zijn in 3D, en nieuwe materialen (III-V halfgeleiders, 2D-materialen als grafeen of MoS₂).
<br>
3D-integratie en geavanceerde packaging
Ondanks transistorinnovaties betekenen basiswetten van de natuurkunde dat we verder moeten kijken dan traditionele schaling. Hierbij komt geavanceerde packaging in beeld:
1. 3D-stapeling
• Meerdere chips of lagen worden verticaal gestapeld met high-density interconnects.
• Actueel voorbeeld is memoriestapeling: HBM (High Bandwidth Memory).
2. 2.5D heterogene integratie
• Vaak via een silicon interposer of geavanceerd substraat.
• De GPU-die en de HBM-stacks liggen naast elkaar op een siliciuminterposer, verbonden met microbumps.
• AMD’s MI300A is een recent voorbeeld (CPU-chiplets, GPU-chiplets en HBM3 in één ‘3D Fabric’-package).
3. Geavanceerde interconnect- en bondingtechnologie
• Hybrid bonding (TSMC’s SoIC, Intel’s hybrid bonding) maakt chiplets mogelijk met hoge interconnectdichtheid.
• Nieuwe standaarden als UCIe (Universal Chiplet Interconnect Express) voor hoge snelheid tussen verschillende chiplets.
Voor GPU’s betekent dit direct hogere prestaties: hoogdichtheidsgeheugen dicht bij de GPU zorgt voor meer doorvoer en minder latentie. Het stapelen of ‘tiled’ ontwerpen van GPU-cores maakt dat meer cores parallel kunnen draaien. De uitdagingen: energie- en warmtebeheer wordt complexer, en betrouwbare communicatie tussen chiplets vergt grondige engineering. Desondanks wordt geavanceerde packaging gezien als een “Moore’s Law multiplier.”
<br>
4. Markt- en supply chain-dynamiek
Het GPU- en foundry-landschap is nauw verweven met mondiale markt- en toeleveringsketens, in een geopolitieke context. Halfgeleiders staan centraal in de Amerikaans–Chinese machtsstrijd (“chip war”). High-end GPU’s zijn dual-use (zowel commercieel als militair), wat leidde tot strikte Amerikaanse exportcontroles richting China.
<br>
Exportrestricties en Chinese markt
• In 2022 verbood de VS de verkoop van top-AI-GPU’s (NVIDIA A100, H100) aan Chinese klanten.
• NVIDIA ontwikkelde snel aangepaste versies (A800, H800) met geknepen prestaties.
China probeert door enorme staatsfondsen eigen AI-chips en fabs te ontwikkelen. SMIC zou een 7nm-achtige chip hebben geproduceerd met DUV-lithografie, maar zonder EUV is 5nm en kleiner onzeker. Bedrijven zoals Huawei, Biren en Alibaba ontwerpen AI-chips op oudere processen (7 nm/14 nm), maar missen toegang tot de modernste tools en IP.
<br>
Nieuwe productiehubs en diversificatie
• De coronapandemie en chiptekorten hebben veel overheden ertoe aangezet te investeren in inlandse halfgeleiderproductie.
• De VS kwam met de CHIPS Act (52 miljard dollar subsidie), Europa met de EU Chips Act (~€43 miljard).
TSMC bouwt geavanceerde fabs in Arizona, Samsung breidt uit in Texas, Intel in Ohio en Arizona, maar ook in Europa (Duitsland). Dit alles om productie geografisch te spreiden. Hoewel dit de robuustheid verbetert, brengt het hoge kosten (een nieuwe fab kost 10–20 miljard dollar) en lange doorlooptijden met zich mee.
<br>
‘Friend-shoring’ en allianties
• De VS werkt met bondgenoten als Japan, Nederland, Taiwan, Zuid-Korea om kritische tools en materialen te beperken tot de eigen kring.
• ASML (Nederland) handhaaft EUV-embargo’s, Japan beperkt chemische export.
Deze fragmentatie leidt tot een mogelijke tweedeling: één blok dat hightech deelt en China dat een eigen weg zoekt. Tegelijk blijft de AI-markt groeien, wat de foundries goed uitkomt. TSMC’s dominantie in het GPU-segment (voor AMD en NVIDIA) leverde recordwinsten op. Samsung en Intel hopen ook klanten in HPC/AI aan te trekken.
<br>
5. Toekomstperspectief: AI-behoefte, concurrerende accelerators en nieuwe paradigma’s
Een centrale vraag: kan hardwareontwikkeling de onverzadigbare AI-vermogenbehoefte bijbenen? De afgelopen tien jaar zijn AI-modellen en de benodigde rekenkracht exponentieel toegenomen, veel sneller dan de tweejaarlijkse verdubbeling van Moore’s Law. Het trainen van massale modellen vereist al tienduizenden GPU-kaarten die wekenlang draaien, met energieconsumptie tot gigawatt-schaal.
<br>
Concurrentie van AI-accelerators
• GPU’s waren de werkpaarden voor AI-training dankzij hun hoge mate van parallelisme.
• Er zijn alternatieven: TPU’s (Google), Graphcore, Cerebras, Amazon AWS Trainium/Inferentia, Huawei Ascend, enz.
Deze ASIC-gebaseerde oplossingen zijn geoptimaliseerd voor matrixverwerkingen. Toch is het brede software-ecosysteem (CUDA, etc.) een sterk pluspunt van GPU’s.
<br>
Energie-efficiëntie en systeemoptimalisatie
• Datacenters ondervinden grenzen in stroom- en koelingscapaciteit.
• Simpelweg meer GPU’s toevoegen is niet altijd haalbaar.
Daarom richt men zich ook op algoritmische efficiëntie (beter AI-modelontwerp), nieuwe interconnect-technologie en specialisatie van GPU’s zelf (aparte cores voor training vs. inference, integratie met DPU/CPU).
<br>
Nieuwe computerparadigma’s
• Quantumcomputers: theoretisch grote snelheid bij bepaalde problemen, maar voorlopig niet op schaal inzetbaar (mogelijk pas over 15–30 jaar).
• Neuromorphic computing: nabootsen van hersenstructuur met spiking-neural-networks, zoals Intel’s Loihi, eventueel met memristor-gebaseerde synapsen.
Deze technologieën zijn nog in R&D-fase. De komende jaren blijft incrementele, pragmatische innovatie bij GPU’s en ASIC’s de AI-vooruitgang domineren. Door packaging-innovaties (meer chiplets, 3D-stapeling), verbeterde halfgeleiderprocessen (naar 2 nm en lager) en algoritmische efficiëntie wordt AI-rekenkracht verder opgeschroefd. Dit noemt men ook wel “Huang’s Law”: AI-prestaties groeien sneller dan Moore’s Law dankzij architecturale optimalisaties en schaalvergroting.
<br>
6. Vertaling en globale SEO-strategie
Om de impact van dit onderzoek wereldwijd te maximaliseren, wordt de content vertaald naar 11 talen – Engels, Duits, Japans, Spaans, Italiaans, Zweeds, Noors, Deens, Nederlands, Koreaans en Frans – met oog voor lokale SEO.
Belangrijke pijlers in de meertalige SEO- en lokalisatie-aanpak:
1. Trefwoordenonderzoek per taal
• Technische termen variëren per regio.
• Voorkom dat we zomaar Engelse woorden gebruiken die niemand lokaal intypt.
2. Natuurlijke, hoogwaardige vertaling
• Vertalers (liefst native speakers) in plaats van alleen machinevertaling.
• Aangepaste idiomen of zinsstructuur voor betere leesbaarheid en SEO-waarde.
3. Lokalisatie van technische termen
• Sommige afkortingen (GPU, CPU, AI) zijn internationaal ingeburgerd.
• Andere kunnen lokaal variëren, dus Engelse en lokale termen beide noemen (zoals “GPU (grafische verwerkingseenheid)”).
4. SEO-optimalisatie en metadata
• Per taal de meta-titels, beschrijvingen en headers optimaliseren.
• Hreflang-tags en aparte URL’s gebruiken (indien mogelijk) om duplicate content-problemen te vermijden.
5. Consistente structuur, aangepaste voorbeelden
• De opbouw van het artikel blijft vergelijkbaar, maar voorbeelden kunnen lokaal relevant worden gemaakt (bijv. ASML of Brainport Eindhoven in Nederland).
6. Unieke inhoud en behoud van SEO-waarde
• Elke taalversie is een lokaal afgestemde tekst, zodat Google het niet als duplicate content markeert.
7. Monitoring en iteratie
• Na publicatie prestaties meten in Google Search Console en analytics.
• Tekst of meta-tags bijsturen om zoekresultaten te verbeteren.
Door deze strategie, waarin we technische diepgang combineren met lokale SEO-best practices, blijft de tekst aantrekkelijk en goed vindbaar – óók in de Nederlandse markt. Zo is de kans groter dat lezers het artikel delen of ernaar linken, wat de SEO-positie verder versterkt.
<br>
Conclusie
Onze aanpak verzekert dat de uitgebreide studie over GPU’s en foundry-technologie toegankelijk, boeiend en vindbaar is voor een wereldwijd publiek. Door te focussen op gebruiksvriendelijkheid (mobile-friendly opmaak, heldere koppen) en de tekst aan te passen aan lokale SEO-behoeften, verspreiden we de inzichten breed zonder taalbarrières.
Elke vertaling behoudt de diepgang en analyse van het origineel, terwijl vorm en formulering geoptimaliseerd zijn voor zowel lezers als zoekmachines. Dit geïntegreerde model zal de content hoog laten scoren en toegevoegde waarde bieden in alle 11 doelmarkten, waaronder Nederland – en daarmee de impact van dit onderzoek wereldwijd vergroten.
Hier is de interne link die je hebt aangevraagd:
Daarnaast heeft TSMC aanzienlijke investeringen aangekondigd om zijn productiecapaciteit in de Verenigde Staten uit te breiden, wat de groeiende vraag naar AI-chips ondersteunt.
Voor meer gedetailleerde informatie raad ik de volgende artikelen aan:
Bronnen
#GPU #AI #Halfgeleiders #3nm #EUV #ASML #Chiplet #HBM #NVIDIA #AMD #Intel #Samsung #TSMC #Brainport #MooreLaw #HuangLaw #Neuromorf #Quantumcomputing #NederlandseTech #Eindhoven #TUdelft #Innovatie